Skip to content
View prabhatpps's full-sized avatar

Block or report prabhatpps

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Maximum 250 characters. Please don't include any personal information such as legal names or email addresses. Markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
prabhatpps/README.md

Hi πŸ‘‹, I'm Prabhat Pandey

πŸ’‘ VLSI Design & Verification Expert | RTL to GDSII Enthusiast πŸ’‘

Typing SVG

🎯 About Me

πŸŽ“ Final Year B.Tech - Electronics and Communication Engineering, VIT Vellore
πŸ”¬ Research & Development Head - ADG-VIT Technical Club
πŸš€ Domain Focus: VLSI Design, Digital Verification, RTL to GDSII Flow
πŸ› οΈ Specialization: Embedded Systems, FPGA Prototyping, EDA Tool Automation


πŸ”§ VLSI & EDA Tools Expertise

πŸ—οΈ RTL Design & Synthesis

Verilog SystemVerilog

⚑ EDA Tools & Flow

Synopsys Cadence ModelSim Quartus

πŸ” Verification & Testing

UVM Testbench

πŸ”¬ Process Technology

TSMC FPGA


πŸš€ Featured VLSI Projects

🏭 Automated Vending Machine Controller

  • Technology: TSMC 32nm Process
  • Tools: Synopsys Design Compiler, RTL Synthesis
  • Features: Complete RTL to GDSII implementation
  • Verification: Comprehensive testbench with functional coverage

🌾 Smart Irrigation System with Embedded Vision

  • Platform: Raspberry Pi 5 + CNN Integration
  • AI Model: EfficientNetV2-L for soil classification
  • Hardware: Custom sensor integration with real-time processing

πŸ›£οΈ Automated Toll Plaza System

  • Hardware: ESP32-CAM, RFID, Ultrasonic Sensors
  • Features: Cloud integration, servo motor control
  • Design: Complete embedded system architecture

πŸŒͺ️ Motion-Controlled Fan System

  • Components: PIR sensors, Arduino, DC motor interfacing
  • Control: Real-time motion detection and response system

πŸ’» Programming & Scripting

Python C C++ Embedded C

TCL MATLAB Shell


πŸ”¬ Research & Development Experience

🎯 ADG-VIT Technical Club - R&D Head

  • Leadership: Leading innovation projects combining hardware and software
  • Mentorship: Guiding junior members in digital design methodologies

πŸ€– Machine Learning Integration

  • Background: Previous ML team member with cross-domain expertise
  • Focus: Edge AI and embedded vision systems

🎯 Current Learning Focus

module current_learning;
    reg [31:0] focus_areas;
    
    initial begin
        focus_areas = {
            "Advanced UVM Verification",
            "RTL to GDSII Automation",
            "High-Speed Digital Design"
        };
        
        $display("🌱 Always learning, always growing!");
    end
endmodule

🌐 Let's Connect

LinkedIn Twitter Instagram


πŸ“§ Contact Information

πŸ“§ Email: [email protected]
🏫 Institution: Vellore Institute of Technology, Vellore
πŸ“ Location: Vellore, Tamil Nadu, India


πŸ† Professional Interests

  • πŸ”¬ RTL Design & Verification: Advanced digital design methodologies
  • ⚑ EDA Tool Automation: Python/TCL scripting for design flows
  • πŸ› οΈ FPGA Prototyping: Rapid prototyping and validation
  • πŸ”§ Physical Design: Place & Route, Timing Closure, Power Analysis
  • πŸ€– Hardware-ML Integration: Edge AI and embedded acceleration

πŸ’« "Designing the future, one chip at a time" πŸ’«

Profile Views

⭐ Star my repositories if you find them useful! ⭐


πŸš€ Open to collaborations in VLSI Design, Verification, and EDA Tool Development πŸš€

Pinned Loading

  1. Smart_IoT_Sensor_Interface_Controller Smart_IoT_Sensor_Interface_Controller Public

    SystemVerilog

  2. Vending_Machine_Complete_ASIC_Design Vending_Machine_Complete_ASIC_Design Public

    Tcl

  3. image_segmentation_for_ICMR image_segmentation_for_ICMR Public

    Jupyter Notebook