-
Notifications
You must be signed in to change notification settings - Fork 11
Open
Description
Hello,
The current library generation based on ieee prefix is pretty weak and inflexible. It would be great if it could respect either vhdl.suggestLibraryCase settings or current typing case - e.g., writing it without capitals would generate following:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;... instead of ...
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;It may be somewhat connected with #6 but this particular case was not covered in the proposed changes.
What do you think about this suggestion?
Metadata
Metadata
Assignees
Labels
No labels